På sida 35 av "Reference Manual"
http://www.digilentinc.com/Data/Product ... ARD-rm.pdf
står det att clk 50MHz ligger på pinne T9.
såg även i "Board Verification"
http://www.digilentinc.com/Data/Product ... D-demo.zip
i filen "S3demo.ucf" skrivs det att:
NET "mclk" LOC = "T9" ;
vet inte om det fungerar att skriva så , haftför mig att alla pinnar börjar på p inte T =)
"if (delay = 500) then" får nog ökas som sagt till typ 25000000 så blinkar LEDen i 1Hz om jag hänger med i coden.
de får du testa , kortet håller nog för det tor ja =)
så en recap (om ja får va med å sabotera koden):
Kod: Markera allt
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity test1 is
Port ( clk : in STD_LOGIC;
y : out STD_LOGIC);
end test1;
architecture Behavioral of test1 is
signal count : integer range 0 to 50000002; -- 50M 26bitar
begin
testit: process (clk)
begin
if rising_edge(clk) then
count <= count + 1;
if count = 25000000 then
y <= '1';
elsif count = 50000000 then
y <= '0';
count <= 0;
end if;
end if;
end process testit;
end Behavioral;
--(försvann min fina indentering nuigen)
----
i ucf (om de går att skriva såhär)
NET "clk" LOC = "T9";
NET "y" LOC = "K12";
lycka till
//ch