Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp önskas

Elektronik- och mekanikrelaterad mjukvara/litteratur. (T.ex schema-CAD, simulering, böcker, manualer mm. OS-problem hör inte hit!)
Electronic
Inlägg: 57
Blev medlem: 16 mars 2011, 16:06:03
Ort: Eskilstuna

Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp önskas

Inlägg av Electronic »

:?
Jag får inte ModelSim att fungera i ISE.
Får en massa olika fel. Höll på med detta 2003 på på skolan och då funkade allt på skolans datorer. Nu, x antal år senare så ska jag beta av laborationer från en distanskurs från förra året så får jag det inte att fungera på min egen dator.
Kursen i sig är usel eftersom det inte fanns någon handledning alls att få då och nu är det ännu sämre eftersom läraren är bortrest i två månader.

Någon som kan tips om någon bra tutorial där man även ställer in ModelSim och får ut vågformerna?
Eller en bra beskrivning på hur man gör det manuellt via ModelSim.
Får jag det bara att fungera en gång med det första så fixar jag nog även de andra simuleringarna.
Behöver nämligen in och utsignalerna i vågform för lab-dokumentationen.

Koden som ska simuleras (fa.vhd)

Kod: Markera allt

----------------------------------------------------------------------------------------
--    Lab 1.1 Generisk fulladderare                                                   --
--                                                                                    --
--    ET004G. Lindstrom, Bjorn. 03/12 2011.                                           --
--                                                                                    --
--    Simulering: ModelSim PE Student Edition ver 10.0d        ==XX==                 --
--    Syntes: Xilinx ISE WebPack ver 13.3                      ==OK==                 --
--    Plattform: Diligent NEXYS 2                              ==XX==                 --
--    Krets: XC3S1200E FGG320DGQ0829                           ==XX==                 --
--    Filnamn: fa.vhd                                          ==OK==                 --
----------------------------------------------------------------------------------------
Library ieee;
Use ieee.std_logic_1164.ALL;
Use IEEE.STD_LOGIC_UNSIGNED.ALL;

Entity fa is
	port(	a,b,cin: in std_logic;
			s,cout: out std_logic);
end fa;

Architecture rtl of fa is
begin
	s		<= a xor b xor cin;
	cout	<=	(a and b) or (B and cin) or (a and cin);
end;
-- END OF FILE --
Och testbänken som ingår i laborationen (testFa.vhd)

Kod: Markera allt

--------------------------------------------------------------------------------
-- Company: 
-- Engineer:
--
-- Create Date:   15:22:47 02/22/2007
-- Design Name:   fa
-- Module Name:   H:/project/Teaching/VHDL/Pegasus/Lab1/testFa.vhd
-- Project Name:  Lab1
-- Target Device:  
-- Tool versions:  
-- Description:   
-- 
-- VHDL Test Bench Created by ISE for module: fa
--
-- Dependencies:
-- 
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes: 
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends 
-- that these types always be used for the top-level I/O of a design in order 
-- to guarantee that the testbench will bind correctly to the post-implementation 
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;

ENTITY testFa_vhd IS
END testFa_vhd;

ARCHITECTURE behavior OF testFa_vhd IS 

	-- Component Declaration for the Unit Under Test (UUT)
	COMPONENT fa
	PORT(
		a : IN std_logic;
		b : IN std_logic;
		cin : IN std_logic;          
		s : OUT std_logic;
		cout : OUT std_logic
		);
	END COMPONENT;
	
	FOR uut:fa USE ENTITY work.fa(behavioral);

	--Inputs
	SIGNAL a :  std_logic := '0';
	SIGNAL b :  std_logic := '0';
	SIGNAL cin :  std_logic := '0';

	--Outputs
	SIGNAL s :  std_logic;
	SIGNAL cout :  std_logic;
	
	SUBTYPE stimtype IS STD_LOGIC_VECTOR(2 DOWNTO 0);
	TYPE testarr IS ARRAY(0 TO 7) OF stimtype;
	CONSTANT stimarr:testarr:=("000",
	                          "001",
									  "010",
									  "011",
									  "100",
									  "101",
									  "110",
									  "111");

BEGIN

	-- Instantiate the Unit Under Test (UUT)
	uut: fa PORT MAP(
		a => a,
		b => b,
		cin => cin,
		s => s,
		cout => cout
	);

	tb : PROCESS
	VARIABLE stimuli:stimtype;
	BEGIN
		-- Wait 100 ns for global reset to finish
		wait for 100 ns;

		-- Place stimulus here
		FOR i IN 0 TO 7 LOOP
		   stimuli := stimarr(i);
			cin <= stimuli(2);
			a <= stimuli(1);
			b <= stimuli(0);
			WAIT FOR 10 ns;
		END LOOP;

		wait; -- will wait forever
	END PROCESS;
	

END;
monstrum
Inlägg: 620
Blev medlem: 13 januari 2005, 05:38:32
Ort: Göteborg

Re: Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp ön

Inlägg av monstrum »

Börja med att skippa ISE ifall du ska simulera. Det går utmärkt att bara köra Modelsim rakt av.
Vad är felet? Ser inget uppenbart fel på koden. Kompilerar det i Modelsim?
Electronic
Inlägg: 57
Blev medlem: 16 mars 2011, 16:06:03
Ort: Eskilstuna

Re: Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp ön

Inlägg av Electronic »

Blir fel i ModelSim när jag kör testbänken.
Verkar vara denna rad:

Kod: Markera allt

  FOR uut:fa USE ENTITY work.fa(behavioral);
Den hittar inte Entiteten work.fa

Fått köra fa.vhd separat med en liten kod till prompten:

Kod: Markera allt

run 100ns
force a 0
force b 0
force cin 0
run 50ns
force a 0
force b 0
force cin 0
run 50ns
force a 1
force b 0
force cin 0
run 50ns
force a 0
force b 1
force cin 0
run 50ns
force a 0
force b 0
force cin 1
run 50ns
force a 1
force b 0
force cin 1
run 50ns
force a 0
force b 1
force cin 1
run 50ns
force a 1
force b 1
force cin 0
run 50ns
force a 1
force b 1
force cin 1
run 50ns
force a 0
force b 0
force cin 0
run 100ns
Hoppas bara att jag inte missat någon kombination.

Sedan kan det ju vara att allt som har med denna kursen att göra irriterar mig. Läraren är säkerligen kunnig inom området men han saknar struktur och pedagogik. Som tentan till exempel. Dugga 1 hade jag full pott på men dugga 2 fick jag bara 43 av 50. Det visade sig att en fråga behandlade ett område som inte fanns med i det material som vi distansstudenter hade tillgång till medans campusstudenterna hade ett mer gediget material där området behandlades. Saknades ett par sidor i en pdf och vi fick samma dugga för att läraren missade att anpassa den till distansmaterialet.
blueint
Inlägg: 23238
Blev medlem: 4 juli 2006, 19:26:11
Kontakt:

Re: Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp ön

Inlägg av blueint »

Vad är det för läroverk som kör sådant dubbelförfarande?
Electronic
Inlägg: 57
Blev medlem: 16 mars 2011, 16:06:03
Ort: Eskilstuna

Re: Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp ön

Inlägg av Electronic »

Vill inte hänga ut vilket lärosäte det är eftersom jag måste få in laborationerna först och dessutom är det anmält till fakultetsnämnden som jag fortfarande väntar på besked ifrån. Skickade en påminnelse om det inatt eftersom jag kom på att jag inte hört vad som hände med ärendet.
Det tog exempelvis 1,5 år och en påminnelse att få den teoretiska delen registrerad i Ladok. Fick iaf ett A på den delen.

Jag har studerat vid 6 olika lärosäten och detta hamnar längst ner i min rangordning. Den officiella rankingen med lärosätena tycker inte jag stämmer. Men det kan ju bero på inom vilka områden man studerar.
Användarvisningsbild
psynoise
EF Sponsor
Inlägg: 7226
Blev medlem: 26 juni 2003, 19:23:36
Ort: Landvetter

Re: Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp ön

Inlägg av psynoise »

Tycker själv de mindre högskolerna håller en skrattretande låg nivå, även på kursinnehållet, jämfört med Chalmers.

Modelsim har jag också endast kört vid sidan om. Dock har jag enligt min erfarenhet fått för mig att dessa verktyg är riktigt buggiga. Inget att klaga över då det knappast finns alternativ, men får jag något att fungera försöker jag göra exakt likadant nästa gång då man annars kan bli lurad att samma funktion ska fungera överallt.
Användarvisningsbild
stekern
Inlägg: 453
Blev medlem: 2 november 2008, 08:24:18
Ort: Esbo, Finland

Re: Xilinx ISE WebPack och ModelSim PE Student Ed., hjälp ön

Inlägg av stekern »

Electronic skrev:Blir fel i ModelSim när jag kör testbänken.
Verkar vara denna rad:

Kod: Markera allt

  FOR uut:fa USE ENTITY work.fa(behavioral);
Den hittar inte Entiteten work.fa
Ska det inte vara?

Kod: Markera allt

 FOR uut:fa USE ENTITY work.fa(rtl);
Jag vet inte om det är relaterat till att du inte får modelsim att spela med ISE
(jag kör alltid modelsim separat, och oftast ISE från kommandoprompten),
men 2003 var fortfarande modelsim simulatorn som kom "bundlad" med ISE, numera är det isim.
Skriv svar