Sökningen fann 313 träffar

av Michel
27 januari 2005, 12:01:33
Kategori: Allmän Elektronik
Tråd: Bygga eget för "reflow soldering"
Svar: 14
Visningar: 3210

'hmm..., kortet fungerar inte.'
'...kan det ha att göra med de där fina grillränderna på ovansidan av plaskapseln eller att BBQ-såsen blandade sig med pastan, tro?'
:lol:

En enkel XY-CNC + varmluftsmunstycke / förvärme i kombination med pasta kanske är något att tänka på...?
:humm:
av Michel
27 januari 2005, 11:36:03
Kategori: Idébanken
Tråd: Allt-i-ett download-kabel
Svar: 35
Visningar: 8592

:bravo:
av Michel
26 januari 2005, 16:43:40
Kategori: Idébanken
Tråd: Allt-i-ett download-kabel
Svar: 35
Visningar: 8592

Om det här nu funkar...

Vad ska man då göra med Xilinx PCIII (1000:- :evil: ), Altera BBMV (hemmabyggd) och MSP430-Jtag (250:-) kablarna man har? :D
av Michel
26 januari 2005, 16:25:20
Kategori: Allmän Elektronik
Tråd: Snabb buss för bakplan
Svar: 3
Visningar: 1044

Vid snabba signaler är ofta första steget att impendansanpassa (stark drivning och terminering). Nästa steg brukar ofta vara är att köra differentiellt (t.ex. som RS422, RS485) eller att ändra signalnivån. Dock så behövs väl inget av detta i 8kB/s? RS232 går ju t.ex. upp till 115200 (230400) i meter...
av Michel
25 januari 2005, 11:34:00
Kategori: Allmän Elektronik
Tråd: Vilken tillverkare av FPGA?
Svar: 8
Visningar: 1599

Dom säljer nog enstaka till företag, men det grymtas nog lite efter samtalet. 'Hur många vill du ha?' 'En.' 'Aha, ett rör á 25st...det blir...' 'Nej, jag ska ha *en* krets.' :wink: Kolla bara att det inte är straffavgift under ett visst belopp och för 'brutna förpackningar'. Ibland är dessutom det e...
av Michel
24 januari 2005, 19:09:15
Kategori: Allmän Elektronik
Tråd: Vilken tillverkare av FPGA?
Svar: 8
Visningar: 1599

Jodå, Farnell, Avnet, Insight Memec m.fl. - fast helst då till företag och i +1000 pack. :roll: Annars har vi ju Elfa som säljer smått & gammalt för en dyr peng. :nene: Jag är intresserad om Ni hittar någon som säljer lite större saker till privatkund. (har inte hunnit kolla Xilinx hemsida ännu ...
av Michel
24 januari 2005, 10:27:42
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Hellre lite hårdvara än lite mjukvara?
Svar: 13
Visningar: 2279

Hmm...

FPGA - Går en sådan under hårdvara eller mjukvara?
:oops:

Inte för att jag har använt dom ofta ännu, men många saker brukar hamna där förr eller senare i den teoretiska förädlingsprocessen.
av Michel
20 januari 2005, 15:11:47
Kategori: Idébanken
Tråd: Allt-i-ett download-kabel
Svar: 35
Visningar: 8592

Har för mig att jag har sett ett opensource-projekt som gjorde denna 'multitool' på 'drivrutins nivå'. Typ - att man använde en hårdvara och via en lågnivå-drivrutin styrde om kommunikationen ifrån programmen. ...om jag bara kunde komma på var jag hade länken... -- Flera förslag: Atmel 8051 ISP (89C...
av Michel
20 januari 2005, 14:59:15
Kategori: Allmän Elektronik
Tråd: Dessa ständiga pakiteringar
Svar: 4
Visningar: 1085

Mät på dina symboler i layoutbiblioteket och välj den som närmast matchar den mekaniska ritningen i databladet.

Total diameter, benavstånd och 'bentjocklek' är det som du bör kolla upp när det gäller en kondensator.
av Michel
16 januari 2005, 23:19:03
Kategori: Projekt
Tråd: FPGA-kort.
Svar: 53
Visningar: 18970

Pilligt och pilligt...
Man har ju en pincett till ytmonterat ändå, så...
Själv så använder jag något liknande elfa's 80-437-13
Funkar bra - även till att sätta i låret :oops:

Man får väl byta förstoringen från 2x till 3.5x i pannluppen kanske.
:wink:
av Michel
16 januari 2005, 16:22:45
Kategori: Projekt
Tråd: FPGA-kort.
Svar: 53
Visningar: 18970

Det hade inte blivit mycket till jordplan .. men det hade fortfarande blivit jordplan modell schweizerost tror jag. Kanske svårt för en oinvigd att se (på dina plottningar). Du har ju - liksom - bäst koll själv. :wink: ... att det kommer explodera om man inte använder minst 4 lager. Så sant, så san...
av Michel
16 januari 2005, 04:30:05
Kategori: Projekt
Tråd: FPGA-kort.
Svar: 53
Visningar: 18970

Snyggt. Har faktiskt aldrig 'tippat' kretsar i mitt cadprogram - även om det går (steglöst/45/90)... Varför 3 lager? Hade man snålat lite och kört ledare i jordplanet kunde det ha gått med 2 lager. Ditt jordplan verkar täcka hyffsat ändå. Fast det är klart. Kan man göra 3 lager hemma :eh: så varför ...
av Michel
16 januari 2005, 03:52:39
Kategori: Övriga komponenter
Tråd: CPLD/FPGA -- programmerbar logik
Svar: 13
Visningar: 3247

Jag använder WebPack för det är ju gratis. Jag antar att Foundation är 100 gånger bättre eller? Näe, tror det är samma programvara (Xilinx foundation hette det förr, numera ISE). Yxigt interface som är ett grafiskt skal ovanpå en miljard småfiler som anropas med kryptiska kommandoradsparametrar? :D...
av Michel
14 januari 2005, 20:19:29
Kategori: Övriga komponenter
Tråd: CPLD/FPGA -- programmerbar logik
Svar: 13
Visningar: 3247

Aha. Lycka till! :wink: Själv så vill jag få tag på någon extra dator som jag kan slänga in min lagliga licens av Xilinx Foundation/ ISE 4.1 igen. Min normala burk kör numera XP, som XF / ISE 4.1 inte går på. XF / ISE 5.1 -> äger jag inte + att den inte har stöd för mina Spartan-kretsar. :roll: Synd...
av Michel
14 januari 2005, 15:14:32
Kategori: Övriga komponenter
Tråd: CPLD/FPGA -- programmerbar logik
Svar: 13
Visningar: 3247

Jag sitter just och försöker luska ut hur det egentligen går till att ladda över sina "designs" till chippet. Normalt så brukar man köpa en JTAG programmerare med sitt första kit - sedan så kan man 'ladda ner' programmet direkt via programvaran. Ritningar på JTAG programmerare finns garan...