Simulera 74-serien 40-serien med tex Arduino

PIC, AVR, Arduino, Raspberry Pi, Basic Stamp, PLC mm.
Formax51
Inlägg: 75
Blev medlem: 30 april 2013, 18:56:19
Ort: Umeå

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Formax51 »

Atmel/Microchip ATF15xxx eller liknande serie finns är fortfarande i produktion.. fyller nog kraven mer än väl för vanlig "glue logic"..
verktyg och utvecklingsverktyg finns.. inga superdyra.. ett verkar vara i stor free ware om man bara håller sig eepld serien

https://www.microchip.com/en-us/product ... -resources

https://www.mouser.se/ProductDetail/Mic ... yiMA%3D%3D
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

Kör du 2 kretskort så skulle du kunna köra ena kortet med rund stiftlist + Hirose DF40 serie.
Andra kortet kör du MCU + Hirose DF40 + avkoppling.

Bygger du rätt så borde du få en kapsel som är lika stor som en vanlig PDIP.
Med en bootloader så skulle du kunna programmera dessa rätt så enkelt via PDIP adaptern, uart t.ex. och en speciell sekvens för låsa upp bootloadern.
Processorn kommer ju inte ha så mycket att göra oavsett.

Edit: BM23FR kanske är bättre, blir 0.6mm alt 0.8mm stackhöjd.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Formax51 skrev: 19 februari 2021, 19:24:23 Atmel/Microchip ATF15xxx eller liknande serie finns är fortfarande i produktion.. fyller nog kraven mer än väl för vanlig "glue logic"..
verktyg och utvecklingsverktyg finns.. inga superdyra.. ett verkar vara i stor free ware om man bara håller sig eepld serien

https://www.microchip.com/en-us/product ... -resources

https://www.mouser.se/ProductDetail/Mic ... yiMA%3D%3D
Tittade på Microship/Atmels kretsar när de länkades till tidigare i tåden, manualen var skriven 1996 och verktyget WinCUPL senast uppdaterat 2001.

De använder även ett helt eget textbaserat definitionsspråk som visserligen inte såg så svårt ut men nyttan med att läsa sig det idag är rätt smal. Det är hyggligt av Microchip att hålla liv i produkten så länge men det käns som man är väldigt långt ute på den långa svansen om man börjar använda den i nya konstruktioner idag.

Jag personligen föredrar helt klart moderna, uppdaterade utvecklingsmiljöer och generella språk som Verilog eller VHDL. Vill man växla arkitektur mellan Intel och Xilinx t.ex. då går såpass här enkel kod att kopiera rakt av eftersom inga tillverkarspecifika IP-block, bussar eller funktioner används. Vill man lägga till nya standard-logikfunktioner då finns det färdiga exempel att ta av och bara syntetisera och programmera sin krets.

Någon som faktiskt använt dessa produkter får gärna kommentera, priset per krets är det minsta problemet eftersom någorlunda moderna CPLD från de båda stora tillverkarna går att köpa för ~10:- + moms i enstyck.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Micke_s skrev: 19 februari 2021, 19:26:47 Kör du 2 kretskort så skulle du kunna köra ena kortet med rund stiftlist + Hirose DF40 serie.
Andra kortet kör du MCU + Hirose DF40 + avkoppling.

Bygger du rätt så borde du få en kapsel som är lika stor som en vanlig PDIP.
Med en bootloader så skulle du kunna programmera dessa rätt så enkelt via PDIP adaptern, uart t.ex. och en speciell sekvens för låsa upp bootloadern.
Processorn kommer ju inte ha så mycket att göra oavsett.

Edit: BM23FR kanske är bättre, blir 0.6mm alt 0.8mm stackhöjd.
Tack för förslagen om kontaktdon, jag funderade på stiftlister med 1,27mm delning men Hirose är en bättre lösning och inte dyra.

Två kort på varandra är nog enda chansen om man skall hålla sig innanför sockelns storlek på ~25x10mm.
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

Jag har använt WinCUPL och det är hemskt. Buggigt och kraschar ofta.

CUPL som språk är väl ok. Enda anledningen till att jag valde Atmel var att den var 5V och inte bara 5V tolerant. Ska aldrig mer använda WinCUPL om jag inte måste.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

MattisLind skrev: 17 februari 2021, 13:42:31 Det finns några TTL som är lite luriga skulle jag tro och det är 7453 och 7462 och liknande, expanderbara IC. Har inte funderat närmare på hur man skulle kunna implementera dem, men det kanske går?
Jag tittade lite i databoken och menar att allt hänger på om det går att få ut X-signalen eller dess invers som en normal spänningssignal istället för en transistor som bara hänger och dinglar. Övriga signaler A-H och Y verkar vara vanlig TTL och att implementera den markerade logiska formeln i VHDL är enkelt bara insignalerna finns.
7453 7460.jpg
Har du tillgång till en 7460 och möjlighet att göra testet ovan för att se om det går att få ut en puls på inversen av X? Max ström genom utgångstransistorn på 7460 verkar vara 3,1mA.
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

Nej, har tyvärr ingen 7460. Problemet blir väl att om man har 7453 och 7460 i sin krets och måste emulera 7460 så måste utgångarna se ut på ett visst sätt för att det ska fungera för 7453 chipet. Om man kopplar som du visar fungerar ju X-utgången som en ren OC. Och det är ju inte den applikation man vanligtvis har med dessa typer av expanderbara grindar. Kanske kan man använda en OC utgång för att hantera /X. Men hur gör man med X i så fall. Den ska ju vara en "Öppen Emitter". Kan man ställa utgången så att den bara sourcar ström och inte sänker? Jag har inte sett det i alla fall.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Min tanke är att motståndet för avkänning ligger på den mottagande 7453 ersättaren, allt är utåt sett 100% kompatibelt.
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

Tittade lite djupare i vad PSOC creator skapade.

Tittar man i xxx_timing.html som PSOC creator skapar så är fördröjningen någonstans mellan 40 till 50ns.
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

Evalkortet jag hade var visst Cypress PROC BLE variant. Denna har tyvärr inga digitala programmerbara block..
gkar
Inlägg: 1453
Blev medlem: 31 oktober 2011, 15:28:29
Ort: Linköping

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av gkar »

Vad finns det för CPLD 2021 som helst kan ge 5V ut, eller åtm. är 5V toleranta?
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

Tror bara att Atmel ATF150xAS är de enda som fortfarande marknadsförs. Och som sagt, gratis-programvaran som finns, WinCUPL, är skit i mitt tycke. Funkar sådär och kraschar ofta.

Men man kommer ju långt med 5V toleranta ingångar om man med interfacar med TTL och då finns det lite mer att välja på.
Skriv svar