Simulera 74-serien 40-serien med tex Arduino

PIC, AVR, Arduino, Raspberry Pi, Basic Stamp, PLC mm.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Digikey länken ovan säger 546 In Stock för SN7417 dip package t.ex. eller är det fel krets?

Jag gick bara in på TI och sökte upp kretsar i din lista tills jag kom till en som inte tillverkas längre. Digikey var med i distributörslänkarna hos TI längst ner på kretsens sida.
Digikey SN7417.jpg
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
GeekJoan
Admin
Inlägg: 10642
Blev medlem: 26 maj 2003, 15:59:27
Ort: Solna

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av GeekJoan »

Visst går det att hitta enstaka kretsar på olika ställen. Men om du tar digikey som ex och söker på 7448, 74HC48, 74LS48 i DIP förpackning så kommer du få problem.
Ibland finns dom bara som ytmonterade så istället för att beställa från 50 ställen och ha små konverterkort för alla former hade det varit så mycket bättre om man kunde ha ett bibliotek med ALLA kretsar i 74-serien och bara "bränna" dom efter behov. Tror inte det kommer bli bättre med tiden. Tror inte att det kommer börja nytillverka de här gamla grejerna, så ju längre tiden går så blir det bara svårare att hitta. Säg om 10-20 år hur många har digikey på lager då? Så nått måste göras.
Användarvisningsbild
Icecap
Inlägg: 26106
Blev medlem: 10 januari 2005, 14:52:15
Ort: Aabenraa, Danmark

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Icecap »

Jag förstår problemet och att lösningen inte nödvändigtvis är SMD + adapter.

Med ska man ta det ett steg vidare kommer en CPLD knappast att kunde petas in på platsen som en enkel DIP tar.

Kan man hitta en CPLD som kan petas in och ha pinnar på rätt ställe kan ske man kan göra ett antal som kan fungera på tänkt sätt.

Men annars blir det ett lite större arbete: att köra baklänges.
Alltså skaffa schema över t.ex ett helt kretskort och lägga in i en FPGA. Detta är rimligt enkelt som sådan, det handlar väldigt mycket om att lägga in kretsfunktionerna (finns rimligt färdiga) och sedan det värsta: lägga in nätlisten.

Då kan man ersätta ett totalt kretskort (inte power osv. men logik) med en FPGA och saken är biff.

Men är det ett unikt kretskort för varje maskin blir det TUNGT - och dyrt i arbetstid.
Delar t.ex. 50% av maskinerna som kommer genom verkstaden kretskort lär det vara värd jobbet att få det att fungera.
Användarvisningsbild
säter
Inlägg: 32514
Blev medlem: 22 februari 2009, 21:16:35
Ort: Säter

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av säter »

Hur gör du för att felsöka en enstaka logikkrets på ett sådant kort?
Användarvisningsbild
GeekJoan
Admin
Inlägg: 10642
Blev medlem: 26 maj 2003, 15:59:27
Ort: Solna

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av GeekJoan »

Icecap, min tanke är inte att man ska stoppa in ett helt kretskort i en FPGA. Det tar för lång tid. Alltså du får tänka jag behöver den där komponenten nu. Inte ens beställa från Digikey och får det om två dagar. NU, det är nu jag sitter och reparera grejerna. Ibland har kunden åkt 40 mil och står och väntar bredvid. Därför bryr jag mig inte så mycket om komponenten kostar 50 öre eller 50 kr.

Säter, logikprob och/eller oscilloskop.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Kod: Markera allt

-- VHDL modell för Motorola SN7448 BCD till 7-segments avkodare
-- BI/RBO är dubbelriktad
-- Segmentdisplayer gemensam katod
-- PWM-signal på BI/RBO
-- RBI skall kunna hänga oansluten
-- Displaysegmenten är OC med pull-up 2k


library ieee;
use ieee.std_logic_1164.all;

entity SN7448_1 IS
	PORT (	bcd				: IN std_logic_vector(3 downto 0); -- DCBA
				BI, LT, RBI		: IN std_logic;
				RBO				: OUT std_logic;
				sjuseg			: OUT std_logic_vector(0 to 6));
end;


architecture behavior OF SN7448_1 IS
		signal segmentkoder	:std_logic_vector(0 to 6);
		signal blanka 			:std_logic;
begin
		-- Avkoda BCD, 1 = släckt segment
		with bcd select
			segmentkoder <= 	"0000001" when "0000", -- 0
									"1001111" when "0001", -- 1
									"0010010" when "0010", -- 2
									"0000110" when "0011", -- 3
									"1001100" when "0100", -- 4
									"0100100" when "0101", -- 5
									"1100000" when "0110", -- 6
									"0001111" when "0111", -- 7
									"0000000" when "1000", -- 8 alla segment på
									"0001100" when "1001", -- 9
									"1110010" when "1010", -- 10
									"1100110" when "1011", -- 11
									"1011100" when "1100", -- 12
									"0110100" when "1101", -- 13
									"1110000" when "1110", -- 14
									"1111111" when "1111"; -- 15, allt släckt
			
		-- Styrsignaler
			blanka <= 	'1' when LT='1' and RBI='0' and bcd="0000" else
							'0'; -- Villkor för blankning av alla segment
							
			sjuseg <=	"0000000" when LT='0' and BI='1' else	-- Lamptest låg, BI hög, tänd alla							
							"1111111" when BI='0' or blanka='1' else 	-- Blanking aktiv låg, släck alla
							segmentkoder;
							
			RBO <= 		'1' when blanka='1' else
							'0';
			
end;
Jag skrev lite VHDL för att emulera 7448 och testad den på ett FPGA-kort. Med reservation för ev. skrivfel i BCD-avkodningen verkar det fungera. Kunde inte hitta någon GK-sjusegmentare att testa med men de skall finnas, annars får jag köpa en.
DIP16.jpg
Kan du göra en uppskattning av hur stort ersättningskortet får vara (X och Y måtten) för att ändå vara användbart? Som Icecap skrev ovan är det nog omöjligt att få plats med allt om ersättaren inte får ta upp mer plats än en DIP16-sockel.

Skulle det fungera att höja upp ersättaren lite genom att ha en extra sockel emellan eller högre stiftlister och låta kortet sticka ut ovanför omkringliggande kretsar? Allt ovanpå är ytmonterat och bygger inte mycket i höjd.
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

GeekJoan skrev: 10 februari 2021, 20:09:48 Icecap, min tanke är inte att man ska stoppa in ett helt kretskort i en FPGA. Det tar för lång tid. Alltså du får tänka jag behöver den där komponenten nu. Inte ens beställa från Digikey och får det om två dagar. NU, det är nu jag sitter och reparera grejerna. Ibland har kunden åkt 40 mil och står och väntar bredvid. Därför bryr jag mig inte så mycket om komponenten kostar 50 öre eller 50 kr.

Säter, logikprob och/eller oscilloskop.
Rent elektroniskt tror jag du kan bygga en helt generell ersättningskrets förutsatt att pinnarna för spänningsmatningen ligger på samma positioner för alla kretsar med samma benantal (borde de göra för 74xx), att alla in/ut signaler är TTL/HCMOS och att allt går att få plats med.

Det sistnämnda är det svåraste, antingen får man bygga på höjden med flera små kort eller låta kortet breda ut sig över omgivningen.

I/O signalerna tror jag går att lösa genom att sätta dubbelriktade nivåomvandlare som TI TXB0108 (https://se.farnell.com/texas-instrument ... dp/3120973) på alla pinnar och en CPLD som t.ex. Intel MAX V i mitten. Problemet är att få plats med dessa tillsammans med spänningsomvandlare, programmeringskontakt, ytmonterade stiftlister på undersidan m.m.

Med en 5V kapabel ytmonterad CPLD som inte är EOL blir det enklare men det verkar inte finnas så många.
Användarvisningsbild
Swech
EF Sponsor
Inlägg: 4689
Blev medlem: 6 november 2006, 21:43:35
Ort: Munkedal, Sverige (Sweden)
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Swech »

Det var inte ovanligt förr at man använde kretsarna på kreativa sätt helt utanför specar.
T.ex. koppla grindar som oscillatorer m.m.
Detta blir riktigt svårt att emulera med FPGA eftersom fokus ni lägger är på den rent digitala funktionen.

Swech
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Allt går säkert inte att lösa men lite bättre än emulering tycker jag det är.

Vad jag gjort ovan är ett enkelt digitalt sekvensnät fast dynamiskt konfigurerat, allt körs parallellt som om det vore diskret logik. Med en mikrodator som kör kod sekventiellt är det emulering, det här skulle jag säga är något mitt emellan.

Med oscillatorer, är det en kristall eller kondensator med inverterare som positiv återkoppling du tänker på? Jag kan prova och se om det går.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

https://www.intel.com/content/dam/www/p ... -ebook.pdf

Ett häfte från Intel som förklarar lite vad en FPGA är och vad de kan användas till om någon som läser tråden blir nyfiken på det.

Inte en introduktion till VHDL/Verilog eller hur man hanterar Quartus utan en introduktion på högre nivå, någorlunda modernt uppdaterad (2017).
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

T ex Altera XC2C32 finns i 5mm x 5 mm QFN. Borde gå att trycka in på ett litet adapterkort. Mouser säljer dem för 1.48 euro i stycktal. Man behöver en liten regulator för att komma ned till 3.3V också.
Om övriga kretsar är TTL så kommer nivåerna att fungera. Råkar det finnas MOS-kretsar att driva så kanske ut-nivåerna inte räcker till.

Det finns några TTL som är lite luriga skulle jag tro och det är 7453 och 7462 och liknande, expanderbara IC. Har inte funderat närmare på hur man skulle kunna implementera dem, men det kanske går?
Användarvisningsbild
JimmyAndersson
Inlägg: 26308
Blev medlem: 6 augusti 2005, 21:23:33
Ort: Oskarshamn (En bit utanför)
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av JimmyAndersson »

Jag har också funderat över det som Swech skriver.
Ett bra exempel på detta från ett annat sammanhang:
https://electronics.stackexchange.com/q ... ltisim-why
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

MattisLind skrev: 17 februari 2021, 13:42:31 T ex Altera XC2C32 finns i 5mm x 5 mm QFN. Borde gå att trycka in på ett litet adapterkort. Mouser säljer dem för 1.48 euro i stycktal. Man behöver en liten regulator för att komma ned till 3.3V också.
Om övriga kretsar är TTL så kommer nivåerna att fungera. Råkar det finnas MOS-kretsar att driva så kanske ut-nivåerna inte räcker till.
XC2C32 verkar vara Xilinx, då får jag byta utvecklingsmiljö men det kanske det är värt. Det jag tittat på var Altera/Intel MAX V i EQFP 64, billiga och enkla att löda.
MattisLind skrev: 17 februari 2021, 13:42:31 Det finns några TTL som är lite luriga skulle jag tro och det är 7453 och 7462 och liknande, expanderbara IC. Har inte funderat närmare på hur man skulle kunna implementera dem, men det kanske går?
Tack för tipsen, "7462 1 3-2-2-3-input AND-OR expander" låter utmanande och intressant. Hittar inga datablad vid en snabb googling, har du en länk eller möjlighet att lägga upp dem här?
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

Såklart att det var Xilinx.. Jag var temporärt förvirrad. Jag har båda verktygen nedladdade men mest hållit på med Xilinx.

http://bitsavers.informatik.uni-stuttga ... _Vol_2.pdf

Sid 302

Klurigheten är ju lite att utgångarna är rena emitter och kollektor. Som går in i utgångssteget på en 745x.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

Skriv svar