CPU-hemmabygge

Användarvisningsbild
Spisblinkaren
EF Sponsor
Inlägg: 12990
Blev medlem: 13 december 2012, 21:41:43

CPU-hemmabygge

Inlägg av Spisblinkaren »

Hej!

Jag har under ett och ett halvt år ägnat min fritid åt att konstruera en CPU med hjälp av en CPLD.

Häromdan lyckades jag ladda ner mjukvaran till en Xilinx XCR3512XL som jag utvecklat under typ ett år.

Innan dess har jag ägnat månader åt att vira ihop grejerna.

Efter jag fick laddat ner programmet hände nåt kul.

Maskinen intog alla de åtta tillstånden som den nödvändiga och interna RST-instruktionen kräver.

Jag kunde se det pga att jag har applicerat över 100st debugg-lysdioder.

Här är det primitivt förstår ni :)

Vad som bekymrade mig var dock att resetvektorn inte stämde. A5 lös inte. Detta trots att allra första tillståndet ska vara FFFEh hos min 16-bitars CPU.

Jag fick alltså FFDEh i stället. Men efter att jag vänt på steken kunde jag se att en virtråd för just den adresspinnen hade gått av av någon osannolik anledning.

Så nu är jag på väg att vira om den pinnen (även om jag tror jag fuskar, dvs löder dit den istället).

Det är dock mycket intressant att man kan få maskinen att köra alla microprogrammerade steg för den interna RST-instruktionen. Detta bådar gott inför framtiden.

I morgon ska jag försöka få fixat A5. Sen är jag dock inte helt säker att jag ändå kan få det att fungera.

Mest för att testprogrammet nyttjar 5 instruktioner (av 36) och att en del av dessa fem är aningen komplexa (läs brancher).

Jag önskar idag att jag hade gjort ett enklare testprogram. Även om det jag har nu är mycket enkelt.

Kul att kunna skriva på svenska för en gångs skull!

Ha det bra!

MVH/Roger
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
XCore
EF Sponsor
Inlägg: 963
Blev medlem: 31 januari 2009, 03:00:12

Re: CPU-hemmabygge

Inlägg av XCore »

Jäklar vad häftigt projekt.
Förstår inte riktigt allt i ditt inlägg men titta på bygget.. woaw!!!
Grymt jävla jobbat!!!! :tumupp:
Användarvisningsbild
Spisblinkaren
EF Sponsor
Inlägg: 12990
Blev medlem: 13 december 2012, 21:41:43

Re: CPU-hemmabygge

Inlägg av Spisblinkaren »

Tack för den sköna kommentaren! Värmer!!
Användarvisningsbild
XCore
EF Sponsor
Inlägg: 963
Blev medlem: 31 januari 2009, 03:00:12

Re: CPU-hemmabygge

Inlägg av XCore »

Vad har du tänkt att cpu,n ska göra OM du får den att fungera som den skall?
Har varit intresserad i sådana här byggen ett tag, dock aldrig vågat mig på något själv.
Inte har jag alla kunskapståg i stationen heller!
Användarvisningsbild
Electricguy
Inlägg: 12304
Blev medlem: 15 augusti 2007, 16:52:14
Ort: Kälmä' typ..

Re: CPU-hemmabygge

Inlägg av Electricguy »

Men jävlar i havet människa! så jävla häftigt! :D Riktigt snyggt jobbat! En liten demo video på bygget skulle vara riktigt kul! Eller lite mer högupplösta bilder.
Pejlaren
Inlägg: 1992
Blev medlem: 22 mars 2008, 15:11:01
Ort: Uppland

Re: CPU-hemmabygge

Inlägg av Pejlaren »

Elektronikporr 8)

Men större bilder vill vi nog ha! Och välkommen till forumet!
Användarvisningsbild
Spisblinkaren
EF Sponsor
Inlägg: 12990
Blev medlem: 13 december 2012, 21:41:43

Re: CPU-hemmabygge

Inlägg av Spisblinkaren »

Fan vad goa ni är!!

Jag har faktiskt inte tänkt så mycket :-D

Jag vill mest få alla 36 instruktioner att fungera.

En vild tanke är att jag ska bygga mig en dator från scratch.

Det lustiga med det uttalandet är dock att min CPU inte ens kan räkna ordentligt :-D

MUL/DIV är nämligen inte implementerat.

Den kan dock addera, subtrahera och skifta.

Man kan tro att detta är ganska meningslöst men faktum är att MUL/DIV kan realiseras mha just additioner och skift i mjukvara.

Nu är dock problemet att jag förenklat så mycket i arkitekturen att man bara kan skifta in nollor. Dvs multiplicera (vänsterkift) respektive dividera (högerkift) med jämna multiplar om två.

Approximationsmässigt är detta ganska bra men i verkligheten suger det.

Fast jag ser det mest som en kuriosagrej.

Ärligt talat, jag har långt kvar innan jag kan få alla mina 36 instruktioner att fungera.

Eftersom jag handavkodat (lyckades aldrig fatta hur man får Excel att konvertera mellan hex och dec) all data så gör den mänskliga faktorn att jag helt enkelt måste gjort fel någonstans. Dvs EPROM:en är säkerligen felaktigt programmerade.

Min högsta önskan är att jag får mitt testprogram att fungera. Då funkar i alla fall fem instruktioner. Därefter är det nämligen bevisat att konceptet fungerar. Jag kan då byta till en så kallad FPGA där jag slipper externa PROM då de har inbyggd ROM-funktion som inte CPLD:er har.

Tänk vad hysteriskt roligt om man kunde bygga sig en egen dator med sin egen CPU!

Även om 16 bitar inte är mycket nuförtiden.

Fast förr kunde man faktiskt göra mycket med 16 bitar...

MVH/Roger
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
XCore
EF Sponsor
Inlägg: 963
Blev medlem: 31 januari 2009, 03:00:12

Re: CPU-hemmabygge

Inlägg av XCore »

Det är grymt häftigt, kolla alla kablar, allt är så perfekt och snyggt.
Det här är något jag verkligen vill se uppföljning av, filmer mer än gärna!
Du förtjänar det, tummar upp!!
Användarvisningsbild
Spisblinkaren
EF Sponsor
Inlägg: 12990
Blev medlem: 13 december 2012, 21:41:43

Re: CPU-hemmabygge

Inlägg av Spisblinkaren »

Tack XCore!

Jag och min kära kollega på jobbet har diskuterat detta. Jag har bara en enkel Nikon kompaktkamera (som dock kan filma). Min kollega har en riktig systemkamera som kan ta flera bilder per sekund.

Jag vet inte om man kan ladda upp videos här men annars lutar det åt en animerad GIF.

Watch out! :D

MVH/Roger
Användarvisningsbild
pbgp
Inlägg: 1447
Blev medlem: 11 november 2010, 09:09:22
Ort: Uppsala
Kontakt:

Re: CPU-hemmabygge

Inlägg av pbgp »

Imponerande lödarbete. Du har väl sätt Zusie här på forumet?

Jag räknar med att ha byggt minst en dator med CPU från skratch (74-logik eller diskreta kretsar) innan jag dör :)
Användarvisningsbild
nablaman
Inlägg: 480
Blev medlem: 30 juli 2010, 17:43:07
Ort: Lund
Kontakt:

Re: CPU-hemmabygge

Inlägg av nablaman »

Riktigt häftigt! :bravo:
Hoppas på mycket filmer, porrbilder på både fram och baksida etc etc på denna goding framöver :wink:
Användarvisningsbild
Spisblinkaren
EF Sponsor
Inlägg: 12990
Blev medlem: 13 december 2012, 21:41:43

Re: CPU-hemmabygge

Inlägg av Spisblinkaren »

Själv är jag förtjust i 74HC-serien. Men man ska inte förringa TTL...

Zusie är ju ett sanslöst bygge! Vilka dårar ni är! Äntligen känns det som jag hittat rätt! Vilken nörd man är! Men vi är inne. Kolla bara på The Big Bang Theory! :D

Bifogar en bild på baksidan av min CPU. Sen ska jag gå och lägga mig :-)

Sköt om er!

MVH/Roger
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
nablaman
Inlägg: 480
Blev medlem: 30 juli 2010, 17:43:07
Ort: Lund
Kontakt:

Re: CPU-hemmabygge

Inlägg av nablaman »

Smaskigt! :tumupp:

Sugen på att diskutera byteshandel inom virtillbehörsområdet ? :wink:
blueint
Inlägg: 23238
Blev medlem: 4 juli 2006, 19:26:11
Kontakt:

Re: CPU-hemmabygge

Inlägg av blueint »

Vilken CPU efterliknar du? klockfrekvens? RAM typ?
Användarvisningsbild
bit96
Inlägg: 2492
Blev medlem: 3 september 2007, 10:04:29
Ort: Säffle

Re: CPU-hemmabygge

Inlägg av bit96 »

Imponerande bygge. :bravo:
Alltså, om du har handkodat mellan dec och hex så utgår jag också ifrån att du även programmerat alla EPROM manuellt byte för byte m.h.a. dipswitchar. :)
Skriv svar