Sökningen fann 20210 träffar

av TomasL
2 oktober 2006, 12:44:32
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Att läsa eller inte läsa, det är frågan. (Datablad).
Svar: 14
Visningar: 2242

Mitt inlägg: Om det är klart att en fråga är en solklar RTFM fråga kan man svara tex: "Har du läst sidorna 18-20 i manualen, om inte gör så och förklara vad det är du inte förstår" inte bara RTFM. Alla är inte bäst på att läsa/hitta i datablad då dessa kan vara snåriga. Jag menar naturlig...
av TomasL
2 oktober 2006, 12:13:34
Kategori: Mätinstrument / Verktyg / Labbutrustning
Tråd: Råd inför köp av oscilloskop
Svar: 10
Visningar: 3330

Köpte ett TEK 465B på tradera förra året. 465B anses vara det bästa oscilloskop som någonsin konstruerats, dock helt analogt utan minnesfunktioner osv. Därefter köpte jag en TEK 7603 Mainframe med en 7D20 Digitizer, dvs ett DSO. 465an har en bandbredd på 100 MHz, två kanaler med trigg och B-svep. 7D...
av TomasL
2 oktober 2006, 11:58:47
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Att läsa eller inte läsa, det är frågan. (Datablad).
Svar: 14
Visningar: 2242

Tips och råd är en sak, men frågor typ: Varför funkar det inte, med svar som "Har du konfigurerat konfig-registerna riktigt", är en helt annan sak.
av TomasL
2 oktober 2006, 11:56:21
Kategori: Mätinstrument / Verktyg / Labbutrustning
Tråd: Råd inför köp av oscilloskop
Svar: 10
Visningar: 3330

>dock inget begagnat.

Varför inte?
Beg TEK går och få till hyffsade priser, kvaliteten var betydligt högre då än nu.
av TomasL
2 oktober 2006, 11:16:18
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Att läsa eller inte läsa, det är frågan. (Datablad).
Svar: 14
Visningar: 2242

TomasL : Får väl säga som en lärar jag hade i elektronik. "Det är inte roligt att äta färdigtuggad mat" med anledning av att få allt serverat på ett fat. Om du ger dig ut på ett "korståg" för att få folk att läsa datablad så säg till så putsar jag upp rustningen och sluter upp v...
av TomasL
2 oktober 2006, 10:42:36
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Att läsa eller inte läsa, det är frågan. (Datablad).
Svar: 14
Visningar: 2242

Jo vi har alla varit nybörjare nån gång, men vad jag vill få fram är en god sed, att alltid läsa in sig innan man börjar med nånting nytt. Tyvärr är det inte helt ovanligt med resonomanget, för jobbigt att läsa, för många sidor, varför läsa, andra kan ju lösa det åt mig osv. Det är enligt min mening...
av TomasL
2 oktober 2006, 10:11:23
Kategori: Optokomponenter
Tråd: OptoOmkopplare VS. Relän ?
Svar: 36
Visningar: 5679

Elfa har små halvledarreläer, både ennkla och dubbla i 6 och 8-pinnars DIL samt Ytmonterat, titta på sidan 167 - 169
Exempelvis 37-426-16, 32, 40, 57, 65, 81. Samt några till.

Kanske nått av dem kan fungera.
av TomasL
2 oktober 2006, 00:44:23
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Att läsa eller inte läsa, det är frågan. (Datablad).
Svar: 14
Visningar: 2242

En sak som jag själ har råkat ut för, et par år sedan. Fick en bunt 18F452 från MChip, fick problem med min app, det funkade inte som tänkt var, konstaterade då efter läst erratan att felet fanns beskrivet där, det var om jag kommer ihåg rätt, problem med att skriva till programminnet eller nått sån...
av TomasL
2 oktober 2006, 00:21:25
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Att läsa eller inte läsa, det är frågan. (Datablad).
Svar: 14
Visningar: 2242

Att läsa eller inte läsa, det är frågan. (Datablad).

Frågan har tydligen kommit upp i en annan tråd. Visserligen är jag ny här på detta forumet, men det beror snarast på att jag inte hittade det förrän nyligen. Jag tycker personligen att de som ställer frågor, som i klartext står i databladet, och frågan uppkommer pga att personerna i fråga inte ids l...
av TomasL
1 oktober 2006, 01:40:58
Kategori: Allmän Elektronik
Tråd: USB oscilloskop/logikanalysator
Svar: 2
Visningar: 842

Beror på vad du skall göra, max sampling är tydligen 200k, vilket innebär en analog bandbredd på max 100 kHz, inte mycket, men om du jobbar med klockhastigheter under 200kHz duger det nog.
av TomasL
1 oktober 2006, 01:36:22
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: C programmering till PIC
Svar: 95
Visningar: 11479

NU funka det ju, ja det är ju lättare när man vet vad man ska kolla efter i databladet.... måste skriva ut det på papper. Det värsta jag vet är att läsa större datablad på skärmen. Tack Det var ju det vi sa i början, läs databladen först, lär dig dem, pula sedan, mycket enklare, och en sak till, lä...
av TomasL
29 september 2006, 16:43:57
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: C programmering till PIC
Svar: 95
Visningar: 11479

Olika C-Kompilatorer använder olika syntax och definitioner, eftersom jag inte använder den kompilatorn som du gör så. om man tittar på schemat så ger det vid hand att RA4 inte är ansluten därför kan aldrig if(porta==1) bli sann eftersom det förutsätter att samtliga ingångar är 1, vilket de ej kan b...
av TomasL
29 september 2006, 15:44:02
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: C programmering till PIC
Svar: 95
Visningar: 11479

utan att känna till vilken kompilator du använder, Är definitionen för Port a riktig, dvs skall det vara porta eller portA eller nått liknande. Brytarna på port A går dessa till jord eller matningen, finns det några pull-up eller pull-down på port A. Det är tydligt att vilkoret i IF satsen inte blir...
av TomasL
26 september 2006, 00:22:29
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: C programmering till PIC
Svar: 95
Visningar: 11479

Okey. Om jag tex vill sätta PORTA.F0 som ingång och PORTA.F1 som utg. Vad ska jag då skriva. Och vad betyder trisb? En massa dumma frågor jag vet, men jag behörver som sagt komma över första trappsteget. Om du vill komma över första trappsteget MÅSTE du läsa databladen för kretsen ifråga, du måste ...
av TomasL
24 september 2006, 21:32:55
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: C programmering till PIC
Svar: 95
Visningar: 11479

Var väl mer ironiskt menat.