Sökningen fann 10883 träffar

av Spisblinkaren
14 december 2012, 19:28:55
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

Imponerande bygge. :bravo: Alltså, om du har handkodat mellan dec och hex så utgår jag också ifrån att du även programmerat alla EPROM manuellt byte för byte m.h.a. dipswitchar. :) Tack! Helt underbar kommentar! Men nu har du nog imponerats i överkant :) Jag skapade binära mönster med avseende på d...
av Spisblinkaren
14 december 2012, 18:56:03
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

Vilken CPU efterliknar du? klockfrekvens? RAM typ? Jag har plankat OP-koderna och deras mnemonics från Motorola HCS08 även om 6809 är min ursprungliga inspiration. Arkitekturen är dock min egen även om jag i princip plankat blockschemat över en enkel CPU ur en bok (Heyes, Computer Architecture and ...
av Spisblinkaren
14 december 2012, 18:43:45
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

nablaman skrev:Smaskigt! :tumupp:

Sugen på att diskutera byteshandel inom virtillbehörsområdet ? :wink:
Tack!

Kan eventuellt vara. Jag återkommer!

MVH/Roger
av Spisblinkaren
14 december 2012, 00:01:42
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

Själv är jag förtjust i 74HC-serien. Men man ska inte förringa TTL... Zusie är ju ett sanslöst bygge! Vilka dårar ni är! Äntligen känns det som jag hittat rätt! Vilken nörd man är! Men vi är inne. Kolla bara på The Big Bang Theory! :D Bifogar en bild på baksidan av min CPU. Sen ska jag gå och lägga ...
av Spisblinkaren
13 december 2012, 23:40:27
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

Tack XCore! Jag och min kära kollega på jobbet har diskuterat detta. Jag har bara en enkel Nikon kompaktkamera (som dock kan filma). Min kollega har en riktig systemkamera som kan ta flera bilder per sekund. Jag vet inte om man kan ladda upp videos här men annars lutar det åt en animerad GIF. Watch ...
av Spisblinkaren
13 december 2012, 23:26:43
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

Fan vad goa ni är!! Jag har faktiskt inte tänkt så mycket :-D Jag vill mest få alla 36 instruktioner att fungera. En vild tanke är att jag ska bygga mig en dator från scratch. Det lustiga med det uttalandet är dock att min CPU inte ens kan räkna ordentligt :-D MUL/DIV är nämligen inte implementerat....
av Spisblinkaren
13 december 2012, 22:22:24
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

Re: CPU-hemmabygge

Tack för den sköna kommentaren! Värmer!!
av Spisblinkaren
13 december 2012, 22:16:51
Kategori: Spisblinkaren
Tråd: CPU-hemmabygge
Svar: 66
Visningar: 33517

CPU-hemmabygge

Hej! Jag har under ett och ett halvt år ägnat min fritid åt att konstruera en CPU med hjälp av en CPLD. Häromdan lyckades jag ladda ner mjukvaran till en Xilinx XCR3512XL som jag utvecklat under typ ett år. Innan dess har jag ägnat månader åt att vira ihop grejerna. Efter jag fick laddat ner program...