Köpråd ang. FPGA-kort

PIC, AVR, Arduino, Raspberry Pi, Basic Stamp, PLC mm.
ElectricNooB
Inlägg: 600
Blev medlem: 26 juli 2011, 20:58:06

Re: Köpråd ang. FPGA-kort

Inlägg av ElectricNooB »

Jag börjar med VHDL men det känns som att det är bra att "kunna" båda. :)
Användarvisningsbild
Andax
Inlägg: 4373
Blev medlem: 4 juli 2005, 23:27:38
Ort: Jönköping

Re: Köpråd ang. FPGA-kort

Inlägg av Andax »

Vhdl känns även mindre grötigt.
blueint
Inlägg: 23238
Blev medlem: 4 juli 2006, 19:26:11
Kontakt:

Re: Köpråd ang. FPGA-kort

Inlägg av blueint »

Mindre grötigt på vilket sätt då?
Användarvisningsbild
stekern
Inlägg: 453
Blev medlem: 2 november 2008, 08:24:18
Ort: Esbo, Finland

Re: Köpråd ang. FPGA-kort

Inlägg av stekern »

blueint skrev:Har för mig det finns vissa triggerlägen som inte kan uttryckas i Verilog men däremot i VHDL.
Du kan ju inte bara haspla ur dig såna där saker utan att ha några som helst belägg eller förklaringar om vad du talar om.
Antingen kollar du väl upp vad du talar om eller så säger du ingenting?
Jag kan i alla fall inte komma på vad du försöker syfta på här.
Andax skrev:Vhdl känns även mindre grötigt.
Tjaa, det är nog mest en vanesak.
Jag tycker att VHDL är mer traggligt att skriva efter att jobbat en hel del med verilog.

Jämför t.ex.

Kod: Markera allt

process (clk)
begin
  if rising_edge(clk) then
    sig1 <= sig2;
  end if;
end process;
med

Kod: Markera allt

always @(posedge clk)
  sig1 <= sig2;
Sen är VHDL mer 'obstinat' med sitt starka typsystem (och oändliga typer), vilket har sina fördelar och nackdelar.
Verilog låter dig lättare skjuta dig i foten, medans i VHDL måste du först 'casta' pistolen till en banan och
sen tillbaks till en pistol innan det låter dig skjuta dig i foten.
Personligen tycker jag att det mest är ett irritationsmoment som oftast bara gör koden mer svårläst/svårskriven
vilket låter buggar smyga sig in just på grund av detta.
Sen har ju VHDL haft sina problem med biblioteken som har funnits i diverse icke-standard versioner,
nu börjar det bättra sig, men det gör konsten att veta vilka bibliotek som är 'rätt' att använda en vetenskap i sig.
(t.ex. numeric_std (rätt) versus std_logic_signed och std_logic_unsigned (fel))

Det finns fördelar med VHDL med, t.ex. så finns det inget som motsvarar records i verilog och i verilog
är det inte tillåtet att ha 2d-arrayer i port deklarationer.
dvs, man måste 'platta' ut sina 2d-arrayer när man exporterar de ut från sin modul.

I slutändan spelar det inte så stor roll vilket språk man börjar med, det viktiga är ändå tänket,
skillnaderna i språken är bara syntax-skillnader, alla andra kunskaper kan flyttas mellan dem.
blueint
Inlägg: 23238
Blev medlem: 4 juli 2006, 19:26:11
Kontakt:

Re: Köpråd ang. FPGA-kort

Inlägg av blueint »

stekern skrev:Du kan ju inte bara haspla ur dig såna där saker utan att ha några som helst belägg eller förklaringar om vad du talar om.
Antingen kollar du väl upp vad du talar om eller så säger du ingenting?
Nu är det ganska omständigt att leta upp den specifika filen samt projektbiblioteket. Installera rätt miljö och sätta sig in i koden igen för att skriva en rad som övertygar dig. Mitt minne är klart på denna punkt. Verilog fungerar till det mesta men i vissa kniviga signaltrigger sammanhang så går det inte riktigt att få till uttrycken korrekt. Det är en observation som kan vara bra att ha i åtanke om man går inför Verilog.
Användarvisningsbild
stekern
Inlägg: 453
Blev medlem: 2 november 2008, 08:24:18
Ort: Esbo, Finland

Re: Köpråd ang. FPGA-kort

Inlägg av stekern »

Jag skulle snarare gissa att det i såfall berodde på bristande kunskap från din sida om något...
dannyboy
Inlägg: 31
Blev medlem: 25 maj 2010, 10:39:13
Ort: Uppsala

Re: Köpråd ang. FPGA-kort

Inlägg av dannyboy »

Jag har iaf kört en del vhdl och tycker det är smidigt, det är väl mycket en vanesak också...

//Danny
jfri
Inlägg: 172
Blev medlem: 1 februari 2010, 21:41:20

Re: Köpråd ang. FPGA-kort

Inlägg av jfri »

blueint skrev:Basys2-100 använder en "Xilinx Spartan 3E FPGA, 100K gates" (XC3S100E CP132). Det jag skulle vara observant på är att det är max 100k grindar. Det är rätt lite och man får snabbt smak på många grindar.
Vad betyder det rent praktiskt ? T.ex vad är det man inte skulle kunna göra eftersom det 'bara' är 100k grindar ? 100k låter annars som väldigt många grindar.
Användarvisningsbild
ojz0r
Inlägg: 249
Blev medlem: 14 september 2007, 19:38:04
Ort: Växjö

Re: Köpråd ang. FPGA-kort

Inlägg av ojz0r »

Om antalet grindar är mycket eller inte beror på vad man har för avsikt med FPGA.
Tex, ska hantera beräkningar med många och stora tal så går det snabbt åt mycket grindar. Ska du bara använda den för boolsk algebra så räcker det fruktansvärt långt.
jfri
Inlägg: 172
Blev medlem: 1 februari 2010, 21:41:20

Re: Köpråd ang. FPGA-kort

Inlägg av jfri »

Vad är då många och stora tal ? Vad skulle ett exempel på applikation kunna vara ? Ett användningsområde för FPGA är att implementera en soft processor vilket t.ex uppges vara ett vanligt användningsområde för Nexus 2. Är 100k grindar för lite här ?
Användarvisningsbild
Andax
Inlägg: 4373
Blev medlem: 4 juli 2005, 23:27:38
Ort: Jönköping

Re: Köpråd ang. FPGA-kort

Inlägg av Andax »

Det beror väldigt mycket på vilken softprocessor man vill göra och vilken typ av instruktioner den skall klara.
Tex, en 8-bitars processor är ganska mycket resurssnålare än en 32-bitars. Har man flyttalsberäkningar så drar det mycket resurser etc.
Användarvisningsbild
abcabc
Inlägg: 188
Blev medlem: 13 september 2014, 21:59:16

Re: Köpråd ang. FPGA-kort

Inlägg av abcabc »

Jag skulle nog föreslå <http://papilio.cc/> om du är ny på detta.

Enklast och billigast är nog <http://gadgetory.com/index.php?route=pr ... uct_id=124> och den använder sig av utvecklingsmiljön från Verilogi som ej kostar något, det är en CPLD, ej FPGA, men när det gäller att lära sig grunderna i VHLD eller Verilog så spelar det ej någon roll. Man behöver även ett JTAG-interface, men finns även det billigt från samma ställe. Detta ger dig en "bare metal" känsla för CPLD / FPGA, men spänningsnivåer och numrering av ben. De har ett antal i och för sig bra men extrema nybörjarkurser, så man kommer ingenstans.

Är man mer avancerad så är nog <http://papilio.cc/> det bästa, en stor community och även flera AVR-kärnor tillgängliga, man kan rakt av köra Arduinos IDE om man vill, eller Atmels AVR-utvecklingsmiljö.

Själv har jag en Mojo <https://embeddedmicro.com/tutorials/mojo/>, billigare än Papillio, men har ej samma community. Dock har de en mycket bra kurs i Verolig med en antal ganska avancerade projekt, inklusive hur man använder Xilinx egen 32-bitars kärna (MicroBlaze wow, tufft namn!).

Alla dessa är så pass billiga, under 500kr att det nog inte prismässigt spelar så stor roll, så Papillio blir nog det bästa, även om "bare metal" för gadgetory är lockande om man är med intresserad av hårdvara.
ElectricNooB
Inlägg: 600
Blev medlem: 26 juli 2011, 20:58:06

Re: Köpråd ang. FPGA-kort

Inlägg av ElectricNooB »

Tack för tippset! Köpte dock ett ett basys 3 - spartan 3E kort för ca1 månad sedan. :wink: Men intressant ändock!
Användarvisningsbild
abcabc
Inlägg: 188
Blev medlem: 13 september 2014, 21:59:16

Re: Köpråd ang. FPGA-kort

Inlägg av abcabc »

Fördelen med BASYS-korten är att det ofta finns många portar inbyggda reda från början, så man kan labba med t.ex. VGA och annat utan att behöva någon extra hårdvara. För Papilo så kostar det extra och för Mojo så finns det inte.
ElectricNooB
Inlägg: 600
Blev medlem: 26 juli 2011, 20:58:06

Re: Köpråd ang. FPGA-kort

Inlägg av ElectricNooB »

Kostar dock lite mer. Men det är klart VGA osv. är praktiskt. Är dock lite sur att det var ett tryckfel i silcscreenen.
Skriv svar